FSI International

Last updated
FSI International, Inc
Type Subsidiary
Nasdaq: FSII
Industry Semiconductor Equipment & Testing
Founded1973
Headquarters,
Productssurface conditioning technology solutions[ buzzword ], microlithography system
Website www.tel.com/about/index.htm

FSI International, Inc. (FSI) is an American manufacturing company based in Chaska, Minnesota, that supplies processing equipment used to manufacture microelectronics, including semiconductor devices.

Contents

History

The company's history began with the establishment of Fluoroware, Inc. in 1966, a company that made fixtures to hold the silicon wafers in place during the various processes involved in producing semiconductor devices. When asked to design a drying apparatus, the principals of Fluoroware agreed to do so and established FSI International in 1973 to market this new product.

The company's offerings expanded to meet the market need for equipment used in the fabrication of microelectronics. In the 1990s, the company relocated from its original facility overlooking Hazeltine Lake to a larger site nearby.

In 1999, FSI International announced an agreement to acquire YieldUP International Corp. [1] In October 2012, Tokyo Electron acquired FSI International, Inc. and renamed the division TEL FSI. [2] [3]

Products

FSI International supplied surface conditioning technology solutions[ buzzword ], using wet, cryogenic and other chemistry techniques to clean, strip or etch the surfaces of silicon wafers. The company also engaged in microlithography systems for photoresist, light-sensitive, and etch-resistant films. The company provides upgrade, replacement and other support services. The five main series of equipment produced by the company are: ZETA, MERCURY, ORION, ANTARES, and POLARIS, and in earlier years, the company also produced the SATURN and NEPTUNE processing systems. Some of the products of the company are spray cleaning systems, single wafer cleaning systems, cryogenic processing systems, and immersion cleaning systems. [4]

Related Research Articles

<span class="mw-page-title-main">MEMS</span> Very small devices that incorporate moving components

MEMS is the technology of microscopic devices incorporating both electronic and moving parts. MEMS are made up of components between 1 and 100 micrometres in size, and MEMS devices generally range in size from 20 micrometres to a millimetre, although components arranged in arrays can be more than 1000 mm2. They usually consist of a central unit that processes data and several components that interact with the surroundings.

In integrated circuit manufacturing, photolithography or optical lithography is a general term used for techniques that use light to produce minutely patterned thin films of suitable materials over a substrate, such as a silicon wafer, to protect selected areas of it during subsequent etching, deposition, or implantation operations. Typically, ultraviolet light is used to transfer a geometric design from an optical mask to a light-sensitive chemical (photoresist) coated on the substrate. The photoresist either breaks down or hardens where it is exposed to light. The patterned film is then created by removing the softer parts of the coating with appropriate solvents, also known in this case as developers.

<span class="mw-page-title-main">Semiconductor device fabrication</span> Manufacturing process used to create integrated circuits

Semiconductor device fabrication is the process used to manufacture semiconductor devices, typically integrated circuits (ICs) such as computer processors, microcontrollers, and memory chips that are present in everyday electrical and electronic devices. It is a multiple-step photolithographic and physio-chemical process during which electronic circuits are gradually created on a wafer, typically made of pure single-crystal semiconducting material. Silicon is almost always used, but various compound semiconductors are used for specialized applications.

Applied Materials, Inc. is an American corporation that supplies equipment, services and software for the manufacture of semiconductor chips for electronics, flat panel displays for computers, smartphones, televisions, and solar products. Integral to the growth of Silicon Valley, the company also supplies equipment to produce coatings for flexible electronics, packaging and other applications. The company is headquartered in Santa Clara, California.

In semiconductor manufacturing, silicon on insulator (SOI) technology is fabrication of silicon semiconductor devices in a layered silicon–insulator–silicon substrate, to reduce parasitic capacitance within the device, thereby improving performance. SOI-based devices differ from conventional silicon-built devices in that the silicon junction is above an electrical insulator, typically silicon dioxide or sapphire. The choice of insulator depends largely on intended application, with sapphire being used for high-performance radio frequency (RF) and radiation-sensitive applications, and silicon dioxide for diminished short-channel effects in other microelectronics devices. The insulating layer and topmost silicon layer also vary widely with application.

Wafer fabrication is a procedure composed of many repeated sequential processes to produce complete electrical or photonic circuits on semiconductor wafers in semiconductor device fabrication process. Examples include production of radio frequency (RF) amplifiers, LEDs, optical computer components, and microprocessors for computers. Wafer fabrication is used to build components with the necessary electrical structures.

<span class="mw-page-title-main">Stepper</span> Photolithographic Tool

A stepper is a device used in the manufacture of integrated circuits (ICs) that is similar in operation to a slide projector or a photographic enlarger. Stepper is short for step-and-repeat camera. Steppers are an essential part of the complex process, called photolithography, which creates millions of microscopic circuit elements on the surface of silicon wafers out of which chips are made. These chips form the heart of ICs such as computer processors, memory chips, and many other devices.

Deep reactive-ion etching (DRIE) is a highly anisotropic etch process used to create deep penetration, steep-sided holes and trenches in wafers/substrates, typically with high aspect ratios. It was developed for microelectromechanical systems (MEMS), which require these features, but is also used to excavate trenches for high-density capacitors for DRAM and more recently for creating through silicon vias (TSVs) in advanced 3D wafer level packaging technology. In DRIE, the substrate is placed inside a reactor, and several gases are introduced. A plasma is struck in the gas mixture which breaks the gas molecules into ions. The ions accelerated towards, and react with the surface of the material being etched, forming another gaseous element. This is known as the chemical part of the reactive ion etching. There is also a physical part, if ions have enough energy, they can knock atoms out of the material to be etched without chemical reaction.

KLA Corporation is an American capital equipment company based in Milpitas, California. It supplies process control and yield management systems for the semiconductor industry and other related nanoelectronics industries. The company's products and services are intended for all phases of wafer, reticle, integrated circuit (IC) and packaging production, from research and development to final volume manufacturing.

<span class="mw-page-title-main">Microfabrication</span>

Microfabrication is the process of fabricating miniature structures of micrometre scales and smaller. Historically, the earliest microfabrication processes were used for integrated circuit fabrication, also known as "semiconductor manufacturing" or "semiconductor device fabrication". In the last two decades microelectromechanical systems (MEMS), microsystems, micromachines and their subfields, microfluidics/lab-on-a-chip, optical MEMS, RF MEMS, PowerMEMS, BioMEMS and their extension into nanoscale have re-used, adapted or extended microfabrication methods. Flat-panel displays and solar cells are also using similar techniques.

<span class="mw-page-title-main">Semiconductor fabrication plant</span> Factory where integrated circuits are manufactured

In the microelectronics industry, a semiconductor fabrication plant is a factory for semiconductor device fabrication.

Soitec is an international company, based in France, that manufactures high performance substrates used in the manufacture of semiconductors.

<span class="mw-page-title-main">Lam Research</span> American semiconductor equipment company

Lam Research Corporation is an American supplier of wafer-fabrication equipment and related services to the semiconductor industry. Its products are used primarily in front-end wafer processing, which involves the steps that create the active components of semiconductor devices and their wiring (interconnects). The company also builds equipment for back-end wafer-level packaging (WLP) and for related manufacturing markets such as for microelectromechanical systems (MEMS).

Semitool was a semiconductor manufacturing/capital equipment company based in Kalispell, Montana.

Entegris, Inc. is an American provider of products and systems that purify, protect, and transport critical materials used in the semiconductor device fabrication process.

<span class="mw-page-title-main">Veeco</span> American manufacturing company

Veeco is a global capital equipment supplier, headquartered in the U.S., that designs and builds processing systems used in semiconductor and compound semiconductor manufacturing, data storage and scientific markets for applications such as advanced packaging, photonics, power electronics and display technologies.

<span class="mw-page-title-main">Tokyo Electron</span> Japanese semiconductor equipment manufacturer

Tokyo Electron Limited, or TEL, is a Japanese electronics and semiconductor company headquartered in Akasaka, Minato-ku, Tokyo, Japan. The company was founded as Tokyo Electron Laboratories, Inc. in 1963.

<span class="mw-page-title-main">Onto Innovation</span> American semiconductor company

Onto Innovation Inc. is an American semiconductor company formed in 2019 from the merger of Rudolph Technologies, Inc. and Nanometrics Incorporated. Onto Innovation is traded as NYSE: ONTO on the New York Stock Exchange, it is a provider of process and process control equipment and software for microelectronic manufacturing industries. The company's product offering includes automated defect inspection and metrology systems, probe card test and analysis systems, and lithography step-and-repeat systems. In addition, Onto Innovation provides a broad range of software products designed to improve yield, control processes and reduce manufacturing costs.

Mattson Technology Inc is an American technology company which was founded in 1988 by Brad Mattson and is based in Fremont, California. The company is both a manufacturer and supplier in the market of semiconductor equipment globally. Its main products are dry strip system, rapid thermal processing, as well as etching. The company provides products for customers and manufacturers such as foundries, memory and logic devices.

Glossary of microelectronics manufacturing terms

References

  1. "FSI International will buy YieldUP in $20 million deal". Archived from the original on 2014-06-10.
  2. "Company Description".
  3. "Tokyo Electron Limited Completes Acquisition of FSI International, Inc". 3 July 2023.
  4. "FSI International, Inc". Archived from the original on 2013-12-07. Retrieved 2013-12-07.