Semitool

Last updated
Semitool
TypeBusiness Unit of Applied Materials (Nasdaq:  AMAT)
Industry Semiconductor
Founded Kalispell, Montana (1979)
Headquarters Kalispell, Montana
Number of employees
1157 (2007)
Website www.semitool.com

Semitool was a semiconductor manufacturing/capital equipment company based in Kalispell, Montana.

Contents

History

The company designed, developed, manufactured high performance and precision chemical processing equipment. Products included electrochemical deposition systems for electroplating copper, gold, solder and other metals; surface preparation systems for cleaning, stripping and etching silicon wafers; and wafer transport container cleaning systems.

Their main competitors were the Austrian company SEZ, Solid State Equipment Corp. (SSEC), and American FSI International. [1] [2]

Applied Materials

In 2009, Semitool was acquired by Applied Materials. [3] It operates Semitool as a business unit and still operates the facility in Kalispell. [4]

Related Research Articles

<span class="mw-page-title-main">Semiconductor device fabrication</span> Manufacturing process used to create integrated circuits

Semiconductor device fabrication is the process used to manufacture semiconductor devices, typically integrated circuit (IC) "chips" such as computer processors, microcontrollers, and memory chips such as NAND flash and DRAM that are present in everyday electrical and electronic devices. It is a multiple-step sequence of photolithographic and physico-chemical processing steps during which electronic circuits are gradually created on a wafer typically made of pure single-crystal semiconducting material. Silicon is almost always used, but various compound semiconductors are used for specialized applications.

<span class="mw-page-title-main">Wafer (electronics)</span> Thin slice of semiconductor used for the fabrication of integrated circuits

In electronics, a wafer is a thin slice of semiconductor, such as a crystalline silicon (c-Si), used for the fabrication of integrated circuits and, in photovoltaics, to manufacture solar cells. The wafer serves as the substrate for microelectronic devices built in and upon the wafer. It undergoes many microfabrication processes, such as doping, ion implantation, etching, thin-film deposition of various materials, and photolithographic patterning. Finally, the individual microcircuits are separated by wafer dicing and packaged as an integrated circuit.

<span class="mw-page-title-main">Hitachi</span> Japanese multinational engineering and electronics company

Hitachi, Ltd. is a Japanese multinational conglomerate corporation headquartered in Chiyoda, Tokyo, Japan. It is the parent company of the Hitachi Group and had formed part of the Nissan zaibatsu and later DKB Group and Fuyo Group of companies before DKB and Fuji Bank merged into the Mizuho Financial Group. As of 2020, Hitachi conducts business ranging from IT, including AI, the Internet of Things, and big data, to infrastructure.

Applied Materials, Inc. is an American corporation that supplies equipment, services and software for the manufacture of semiconductor chips for electronics, flat panel displays for computers, smartphones, televisions, and solar products. Integral to the growth of Silicon Valley, the company also supplies equipment to produce coatings for flexible electronics, packaging and other applications. The company is headquartered in Santa Clara, California.

Affymetrix is now Applied Biosystems, a brand of DNA microarray products sold by Thermo Fisher Scientific that originated with an American biotechnology research and development and manufacturing company of the same name. The Santa Clara, California-based Affymetrix, Inc. now a part of Thermo Fisher Scientific was co-founded by Alex Zaffaroni and Stephen Fodor. Stephen Fodor and his group, based on their earlier development of methods to fabricate DNA microarrays using semiconductor manufacturing techniques.

Wafer fabrication is a procedure composed of many repeated sequential processes to produce complete electrical or photonic circuits on semiconductor wafers in semiconductor device fabrication process. Examples include production of radio frequency (RF) amplifiers, LEDs, optical computer components, and microprocessors for computers. Wafer fabrication is used to build components with the necessary electrical structures.

Wafer-scale integration (WSI) is a rarely used system of building very-large integrated circuit networks from an entire silicon wafer to produce a single "super-chip". Combining large size and reduced packaging, WSI was expected to lead to dramatically reduced costs for some systems, notably massively parallel supercomputers. The name is taken from the term very-large-scale integration, the state of the art when WSI was being developed.

KLA Corporation is an American capital equipment company based in Milpitas, California. It supplies process control and yield management systems for the semiconductor industry and other related nanoelectronics industries. The company's products and services are intended for all phases of wafer, reticle, integrated circuit (IC) and packaging production, from research and development to final volume manufacturing.

<span class="mw-page-title-main">Semiconductor fabrication plant</span> Factory where integrated circuits are manufactured

In the microelectronics industry, a semiconductor fabrication plant is a factory where devices such as integrated circuits are manufactured.

Soitec is an international company, based in France, that manufactures high performance substrates used in the manufacture of semiconductors.

<span class="mw-page-title-main">Lam Research</span> American semiconductor equipment company

Lam Research Corporation is an American supplier of wafer fabrication equipment and related services to the semiconductor industry. Its products are used primarily in front-end wafer processing, which involves the steps that create the active components of semiconductor devices and their wiring (interconnects). The company also builds equipment for back-end wafer-level packaging (WLP) and for related manufacturing markets such as for microelectromechanical systems (MEMS).

<span class="mw-page-title-main">Dover Corporation</span> American industrial conglomerate corporation

Dover Corporation is an American conglomerate manufacturer of industrial products. The Downers Grove, Illinois-based company was founded in 1955. As of 2021, Dover's business was divided into five segments: Engineered Products, Clean Energy and Fueling, Imaging & Identification, Pumps & Process Solutions and Climate and Sustainability Technologies. Dover is a constituent of the S&P 500 index and trades on the New York Stock Exchange under "DOV". Dover was ranked 433rd in the 2022 Fortune 500. The company relocated its headquarters to Illinois from New York in mid-2010.

Entegris, Inc. is an American provider of products and systems that purify, protect, and transport critical materials used in the semiconductor device fabrication process.

Bosch Solar Energy AG was a German solar wafer and solar cell manufacturer, based in Erfurt, which specialized in crystalline silicon-based photovoltaic (PV) products, as well as thin-film modules using amorphous silicon and CIGS absorber materials. The company consisted of various divisions for silicon, wafers, solar cells and modules, research and production facilities in Germany and France and plans were made to open a production line in Malaysia. It has been listed on the German stock exchange since 30 September 2005 and on 19 December 2005 its shares were admitted to the TecDAX. The enterprise was founded in 1997 as ErSol Solarstrom GmbH & Co. KG.

SunEdison, Inc. is a renewable energy company headquartered in the U.S. In addition to developing, building, owning, and operating solar power plants and wind energy plants, it also manufactures high purity polysilicon, monocrystalline silicon ingots, silicon wafers, solar modules, solar energy systems, and solar module racking systems. Originally a silicon-wafer manufacturer established in 1959 as the Monsanto Electronic Materials Company, the company was sold by Monsanto in 1989.

<span class="mw-page-title-main">Veeco</span> American manufacturing company

Veeco is a global capital equipment supplier, headquartered in the U.S., that designs and builds processing systems used in semiconductor and compound semiconductor manufacturing, data storage and scientific markets for applications such as advanced packaging, photonics, power electronics and display technologies.

<span class="mw-page-title-main">Tokyo Electron</span> Japanese semiconductor equipment manufacturer

Tokyo Electron Limited, or TEL, is a Japanese electronics and semiconductor company headquartered in Akasaka, Minato-ku, Tokyo, Japan. The company was founded as Tokyo Electron Laboratories, Inc. in 1963.

<span class="mw-page-title-main">Dicing tape</span> Special adhesive tape used during microchip manufacture

Dicing tape is a backing tape used during wafer dicing or some other microelectronic substrate separation, the cutting apart of pieces of semiconductor or other material following wafer or module microfabrication. The tape holds the pieces of the substrate, in case of a wafer called as die, together during the cutting process, mounting them to a thin metal frame. The dies/substrate pieces are removed from the dicing tape later on in the electronics manufacturing process.

FSI International, Inc. (FSI) is an American manufacturing company based in Chaska, Minnesota that supplies processing equipment used to manufacture microelectronics, including semiconductor devices.

References

  1. "Semitool Installs Third Single-wafer System at LETI". Solid State Technology Magazine. December 12, 2005.
  2. "Applied Materials to buy Kalispell firm". Daily Inter Lake . November 17, 2009.
  3. "Applied Materials to Buy Semitool in All-Cash Deal". The Wall Street Journal. November 17, 2009.
  4. Applied Materials Archived 2016-03-03 at the Wayback Machine . accessed 2.21.2016.